What are you looking for ?
Advertise with us
ATP

Lam Cryo 3.0 Cryogenic Etch Technology to Accelerate Scaling of 3D NAND for AI Era

Innovation addresses critical manufacturing challenges as memory makers target 1,000-layer 3D NAND by decade end.

Lam Research Corp. extended its leadership in 3D NAND flash memory etching with the introduction of Lam Cryo 3.0, the 3rd gen of the company’s production-proven cryogenic dielectric etch technology.

Lam Cryo(tm) 3.0 cryogenic etch technology is a breakthrough that etches tiny features the diameter of 1/1000th of human hair with industry-leading precision and speed to enable scaling of 3D NAND for AI Era.

Lam Research Corporation Lam Cryo

As the proliferation of GenAI continues to propel the demand for memory with higher capacity and performance, Lam Cryo 3.0 provides etch capabilities critical for the manufacturing of future leading-edge 3D NAND. Leveraging ultra cold temperatures, high power confined plasma reactor technology, and innovations in surface chemistry, Lam Cryo 3.0 etches with industry-leading precision and profile control.

Lam Cryo 3.0 paves the way for customers on the path to 1,000-layer 3D NAND,” said Sesha Varadarajan, SVP, global products group, Lam Research. “With five million wafers already manufactured using Lam cryogenic etch, our newest technology is a breakthrough in 3D NAND production. It creates high aspect ratio (HAR) features with angstrom-level precision, while delivering lower environmental impact and more than double the etch rate of conventional dielectric processes. Lam Cryo 3.0 is the etch technology our customers need to overcome the AI era’s key NAND manufacturing hurdles.”

Lam Cryo Etch Scheme

Lam Cryo 3.0 cryogenic etch breakthrough paves the way for 1,000-layer 3D NAND to meet AI’s rising data storage demands

To date, 3D NAND has primarily advanced through the stacking of vertical layers of memory cells, which are enabled by etching deep and narrow HAR memory channels. Slight, atomic-scale deviations from the target profile of these features can negatively affect electrical properties of the die and potentially impact yield. Lam Cryo 3.0 is optimized to address these and other etch challenges to scaling.

AI is driving exponential demand in capacity and on the performance of flash memory both at the cloud and the edge. This is compelling chipmakers to scale NAND flash in the race to achieve 1,000-layer 3D NAND by the end of 2030,” said Neil Shah, co-founder and VP, research, Counterpoint Research. “Lam Cryo 3.0 cryogenic etch technology is a significant leap beyond conventional techniques. It etches memory channels that are more than 50 times deeper than their width with near perfect precision and control, achieving a profile deviation of less than 0.1%. This breakthrough significantly enhances advanced 3D NAND yields and overall performance to enable chipmakers to compete well in the AI era.

Lam Cryogenic Etch Tech 2d

Industry’s most advanced cryogenic etch technology
Lam Cryo 3.0 utilizes the company’s unique, high powered confined plasma reactors, process improvements and temperatures well below -0oC, which permit the harnessing of new, novel etch chemistries. When combined with the scalable, pulsed plasma technology of Lam’s latest Vantex dielectric system, etch depth and profile control is significantly increased. Using Lam Cryo 3.0 technology, 3D NAND manufacturers can etch memory channels with depths of up to 10 microns with less than 0.1% deviation (*) in the feature’s critical dimension from the top to the bottom.

Other highlights include:

  • Outstanding productivity: Compared to conventional dielectric processes, Lam Cryo 3.0 etches 2.5x faster, with better wafer-to-wafer repeatability, helping 3D NAND manufacturers to achieve high yield at lower cost.

  • Higher sustainability: Lam Cryo offers 40% reduction in energy consumption per wafer, and up to a 90% reduction in emissions compared to conventional etch processes. (**)

  • Maximize equipment investment: For the optimal profile control and the fastest and deepest dielectric etch, Lam Cryo 3.0 can be integrated into Lam’s newest Vantex system. It is also compatible with the company’s portfolio of Flex HAR dielectric etchers, used by all major memory manufacturers for 3D NAND mass production.

Leading 3D NAND dielectric etching
Lam Cryo 3.0 further extends to the company’s 2-decade-leadership in wafer fabrication etch technologies, which includes 7th gen of 3D NAND. Lam introduced the world’s first cryogenic etch offering into volume production in 2019. Of the over 7,500 Lam HAR dielectric etch chambers utilized in NAND production today, nearly 1,000 of them use cryogenic etch technology.

Lam Cryo 3.0 is available to leading memory manufacturers. It is the latest addition to Lam’s broad portfolio of etch, deposition and clean solutions for 3D NAND manufacturing.

(*) Profile deviation calculated by maximum critical dimension minus minimum critical dimension divided by memory channel depth.
(**) Source: Lam Research. Based on new etch chemistries possible with Lam Cryo 3.0. 90% reduction in Kg CO2 per wafer. Estimated emissions reduction calculated using IPPC (Intergovernmental Panel on Climate Change) guidelines for greenhouse gas inventories. The estimated reduction has not been independently verified.

Resources:
Blog:
Lam Cryo 3.0: What You Need to Know
Learn more about cryogenic etching and evolving 3D innovations.

Counterpoint Research, WP How to Scale to 1,000-Layer 3D NAND in the AI Era, July 2024

Articles_bottom
ExaGrid
AIC
ATTOtarget="_blank"
OPEN-E
RAIDON